Community forum

Please note that VisualCron support is not actively monitoring this community forum. Please use our contact page for contacting the VisualCron support directly.


MgSam
  •  MgSam
  • No customer Topic Starter
2017-09-22T16:00:31Z
As far as we can tell, the state of job variables is not captured in the task/job logs. This makes it really difficult to debug what might have gone wrong, if a job was called with different variables but there's no record of that. We'd like this to be included in the logs.

Thanks.
Sponsor
Forum information
Scroll to Top